Mon May 06 2024 12:26:08 GMT+0800 (China Standard Time)

随身查
mini app
扫码添加小程序
手机随时查器件
74ALVCH16245DGG-T
逻辑 > 总线驱动器/收发器

74ALVCH16245DGG-T

NXP Semiconductors
IC ALVC/VCX/A SERIES, DUAL 8-BIT TRANSCEIVER, TRUE OUTPUT, PDSO48, 6.10 MM, PLASTIC, MO-153, SOT-362-1, TSSOP-48, Bus Driver/Transceiver
市场均价:
-
市场总库存:
-
生命周期状态:
Obsolete
风险等级:
8.56
风险等级:
设计
产品
长期
参数规格
相关器件
详细参数
参数名称 参数值
Source Content uid 74ALVCH16245DGG-T
是否无铅 不含铅 不含铅
是否Rohs认证 符合 符合
生命周期 Obsolete
Objectid 1449151433
零件包装代码 TSSOP
包装说明 TSSOP,
针数 48
Reach Compliance Code unknown
HTS代码 8542.39.00.01
风险等级 8.56
YTEOL 0
其他特性 WITH DIRECTION CONTROL
系列 ALVC/VCX/A
JESD-30 代码 R-PDSO-G48
JESD-609代码 e4
长度 12.5 mm
负载电容(CL) 50 pF
逻辑集成电路类型 BUS TRANSCEIVER
湿度敏感等级 1
位数 8
功能数量 2
端口数量 2
端子数量 48
最高工作温度 85 °C
最低工作温度 -40 °C
输出特性 3-STATE
输出极性 TRUE
封装主体材料 PLASTIC/EPOXY
封装代码 TSSOP
封装形状 RECTANGULAR
封装形式 SMALL OUTLINE, THIN PROFILE, SHRINK PITCH
峰值回流温度(摄氏度) NOT SPECIFIED
传播延迟(tpd) 3.7 ns
认证状态 Not Qualified
座面最大高度 1.2 mm
最大供电电压 (Vsup) 3.6 V
最小供电电压 (Vsup) 1.2 V
标称供电电压 (Vsup) 3.3 V
表面贴装 YES
技术 CMOS
温度等级 INDUSTRIAL
端子面层 Nickel/Palladium/Gold (Ni/Pd/Au)
端子形式 GULL WING
端子节距 0.5 mm
端子位置 DUAL
处于峰值回流温度下的最长时间 NOT SPECIFIED
宽度 6.1 mm
参数规格与技术文档
NXP Semiconductors
团队正努力扩充器件资源,敬请期待!
对比栏已满,请删除不需要的器件再继续添加哦!
对比栏
取消