Sun May 19 2024 10:44:45 GMT+0800 (China Standard Time)

随身查
mini app
扫码添加小程序
手机随时查器件
74ALVCH162374T
逻辑 > 总线驱动器/收发器

74ALVCH162374T

STMicroelectronics
ALVC/VCX/A SERIES, DUAL 8-BIT DRIVER, TRUE OUTPUT, PDSO48, TSSOP-48
数据手册:
ECAD 模型:
市场均价:
-
市场总库存:
-
生命周期状态:
Obsolete
风险等级:
9.24
风险等级:
设计
产品
长期
参数规格
相关器件
详细参数
参数名称 参数值
Source Content uid 74ALVCH162374T
生命周期 Obsolete
Objectid 1935699627
零件包装代码 TSSOP
包装说明 TSSOP-48
针数 48
Reach Compliance Code compliant
HTS代码 8542.39.00.01
风险等级 9.24
YTEOL 0
系列 ALVC/VCX/A
JESD-30 代码 R-PDSO-G48
长度 12.5 mm
负载电容(CL) 50 pF
逻辑集成电路类型 BUS DRIVER
最大频率@ Nom-Sup 300000000 Hz
最大I(ol) 0.012 A
位数 8
功能数量 2
端口数量 2
端子数量 48
最高工作温度 125 °C
最低工作温度 -55 °C
输出特性 3-STATE WITH SERIES RESISTOR
输出极性 TRUE
封装主体材料 PLASTIC/EPOXY
封装代码 TSSOP
封装等效代码 TSSOP48,.3,20
封装形状 RECTANGULAR
封装形式 SMALL OUTLINE, THIN PROFILE, SHRINK PITCH
包装方法 TR
Prop。Delay @ Nom-Sup 4.6 ns
传播延迟(tpd) 6.5 ns
认证状态 Not Qualified
座面最大高度 1.2 mm
最大供电电压 (Vsup) 3.6 V
最小供电电压 (Vsup) 1.65 V
标称供电电压 (Vsup) 2.7 V
表面贴装 YES
技术 CMOS
温度等级 MILITARY
端子形式 GULL WING
端子节距 0.5 mm
端子位置 DUAL
触发器类型 POSITIVE EDGE
宽度 6.1 mm
参数规格与技术文档
STMicroelectronics
团队正努力扩充器件资源,敬请期待!
对比栏已满,请删除不需要的器件再继续添加哦!
对比栏
取消