Mon May 06 2024 07:08:44 GMT+0800 (China Standard Time)

随身查
mini app
扫码添加小程序
手机随时查器件
74ALVT16541DL
逻辑 > 总线驱动器/收发器

74ALVT16541DL

NXP Semiconductors
IC ALVT SERIES, DUAL 8-BIT DRIVER, TRUE OUTPUT, PDSO48, Bus Driver/Transceiver
数据手册:
ECAD 模型:
市场均价:
-
市场总库存:
-
生命周期状态:
Obsolete
风险等级:
9.81
风险等级:
设计
产品
长期
参数规格
相关器件
详细参数
参数名称 参数值
Source Content uid 74ALVT16541DL
是否Rohs认证 不符合 不符合
生命周期 Obsolete
Objectid 1436935592
包装说明 SSOP, SSOP48,.4
Reach Compliance Code unknown
HTS代码 8542.39.00.01
风险等级 9.81
YTEOL 0
其他特性 WITH DUAL OUTPUT ENABLE; CAN ALSO OPERATE AT 3.3V VCC
控制类型 ENABLE LOW
系列 ALVT
JESD-30 代码 R-PDSO-G48
长度 15.875 mm
负载电容(CL) 50 pF
逻辑集成电路类型 BUS DRIVER
最大I(ol) 0.024 A
位数 8
功能数量 2
端口数量 2
端子数量 48
最高工作温度 85 °C
最低工作温度 -40 °C
输出特性 3-STATE
输出极性 TRUE
封装主体材料 PLASTIC/EPOXY
封装代码 SSOP
封装等效代码 SSOP48,.4
封装形状 RECTANGULAR
封装形式 SMALL OUTLINE, SHRINK PITCH
最大电源电流(ICC) 4.5 mA
Prop。Delay @ Nom-Sup 2.9 ns
传播延迟(tpd) 2.8 ns
认证状态 Not Qualified
座面最大高度 2.8 mm
最大供电电压 (Vsup) 2.7 V
最小供电电压 (Vsup) 2.3 V
标称供电电压 (Vsup) 2.5 V
表面贴装 YES
技术 BICMOS
温度等级 INDUSTRIAL
端子形式 GULL WING
端子节距 0.635 mm
端子位置 DUAL
宽度 7.5 mm
参数规格与技术文档
NXP Semiconductors
团队正努力扩充器件资源,敬请期待!
对比栏已满,请删除不需要的器件再继续添加哦!
对比栏
取消